Design and optimization of inductive-coupling links for 3-D-ICs

Benjamin Fletcher, Shidhartha Das and Terrence Mak (2018) Design and optimization of inductive-coupling links for 3-D-ICs. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 1-13.